请选择 进入手机版 | 继续访问电脑版

集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
楼主: lcytms

跟李凡老师学FPGA扩频通信D02:串行通信设计(20170419课堂笔记)

[复制链接]
 楼主| lcytms 发表于 2017-10-15 22:33:27 | 显示全部楼层
1016
        (同学分享)。
        编写comm_transceiver_zx1702.v代码。
        于是,要对它进行延迟。
        上面去声明几个延迟信号,有三到四个就够了。
        Reg,r0到r2。
        这个呢,要接全局复位。
        异步复位,同步置位。
  

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-10-15 22:34:48 | 显示全部楼层
1017
        (同学分享)。
        编写comm_transceiver_zx1702.v代码。
        做延迟。
        R0捕获locked,r1捕获r0,r2捕获r1,复位捕获r2。
        这段写法很经典。
        无论是Altera还是Xilinx,都必须锁相环的locked做复位,都必须做延迟。
        理论上做一拍就够了,现在我们四拍下来。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-10-15 22:36:24 | 显示全部楼层
本帖最后由 lcytms 于 2017-10-15 22:37 编辑

1018
        (同学分享)。
        编写comm_transceiver_zx1702.v代码。
        Ctrl+S、Ctrl+K。
        好,顶层就做完了,我们看一下层次。
        层次也在。
        行了。
        你打包发给我。
        休息十分钟。
        第二组准备来做发送器,第三组做接收器。

(休息)

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-10-15 22:40:32 | 显示全部楼层
1026
        (同学分享)。
        把文件夹复制下来,把v10改成v11。
        打开设计报告。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-10-15 22:42:46 | 显示全部楼层
1027
        (同学分享)。
        修改更新日志。
        二、发送器设计。
  

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-10-15 23:15:28 | 显示全部楼层
1028
        (同学分享)。
        二、发送器设计。
        2.1 顶层设计。
        从1.2中贴过来。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-10-15 23:16:42 | 显示全部楼层
1029
        (同学分享)。
        二、发送器设计。
        2.2架构设计。
        我们学了那么多FSMD,为什么不用它呢?
        状态机作为控制器用,然后我们会要求有FIFO,有异或单元,有做奇偶校验的模块,还有曼彻斯特编码器,还有串化器。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-10-15 23:17:46 | 显示全部楼层
1030
        (同学分享)。
        二、发送器设计。
        2.2架构设计。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2017-10-15 23:18:54 | 显示全部楼层
1031
        (同学分享)。
        二、发送器设计。
        2.2架构设计。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-19 04:54 , Processed in 0.068771 second(s), 17 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表