集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (8) |订阅

FPGA技术交流 今日: 1 |主题: 12939|排名: 6 

作者 回复/查看 最后发表
预览 ALTERA-EPM3064A的问题 dspmatlab 2011-6-24 01278 dspmatlab 2011-6-24 11:33
预览 波形信号值怎么设计?我是新手 attach_img dspmatlab 2011-6-22 21326 dspmatlab 2011-6-24 11:29
预览 深圳培训 gzcjh230 2011-6-13 61982 CPLD 2011-6-24 11:10
预览 jpeg解码的idct数据 杜一江 2011-6-24 01229 杜一江 2011-6-24 09:17
预览 用了内部时钟下载程序单片机还接着外部晶振对单片机有影响吗? IPO 2011-6-23 01265 IPO 2011-6-23 12:19
预览 有谁有synopsys的VCS软件 IPO 2011-6-23 01211 IPO 2011-6-23 12:19
预览 新手问题 zdlulu 2011-6-16 21384 michan 2011-6-22 20:50
预览 纠结……求解 fdklmj 2011-6-21 53402 njithjw 2011-6-22 18:40
预览 fpga新人求计数器的Verilog程序  ...2 ICE 2010-6-27 167475 wangxia6112 2011-6-22 17:10
预览 关于always语句  ...2 wolfson 2011-5-9 104290 wangxia6112 2011-6-22 17:03
预览 请教一个乘法运算的问题(verilog) lanpad 2011-6-21 21281 lanpad 2011-6-22 16:38
预览 跳频中调制后的信号怎么不周期? a91234561962 2011-6-22 01278 a91234561962 2011-6-22 10:41
预览 急求:数字系统VHDL设计(江国强) 课后习题答案 jafeime 2011-6-21 01131 jafeime 2011-6-21 22:09
预览 求助关于VGA彩条控制课程设计 laotang 2011-6-14 52093 laotang 2011-6-21 17:24
预览 xilinx综合 syai02 2011-6-21 01892 syai02 2011-6-21 16:26
预览 ram核 syai02 2011-6-21 14814 syai02 2011-6-21 15:36
预览 怎样设置 xilinx下载线的下载速度,如从6M设置成3M vvt 2011-6-21 01786 vvt 2011-6-21 13:24
预览 请问谁做过cyclone3 altremote_update??? vvt 2011-6-21 02373 vvt 2011-6-21 13:23
预览 xilinx烧写程序时出现: 怎么解决啊??? vvt 2011-6-21 01904 vvt 2011-6-21 11:55
预览 FPGA烧写不成功,请各位fpga大侠指点 IPO 2011-6-21 12285 IPO 2011-6-21 05:51
预览 要用到Xilinx的V4系列的FPGA,XC4VFX140,需要采用并行的PROM进行加载 IPO 2011-6-21 11470 IPO 2011-6-21 05:50
预览 问各位熟悉nios的一个问题 ccs 2011-6-20 11808 ccs 2011-6-20 20:17
预览 是不是先安装11.0_quartus_windows后安装11.0_devices_windows IPO 2011-6-19 13846 terry 2011-6-20 16:06
预览 新人报道帖 terry 2011-6-20 01269 terry 2011-6-20 16:03
预览 哪位帮忙推荐一款flash芯片,I2C接口,2M大小的 ccs 2011-6-20 01805 ccs 2011-6-20 13:55
预览 有谁对xhdl这个软件比较熟的嘛?? ccs 2011-6-20 11364 ccs 2011-6-20 13:53
预览 请问有用过nios 的dma的吗 ccs 2011-6-20 01545 ccs 2011-6-20 13:53
预览 没有输出block ram !! applelonger 2011-4-12 11466 wangxia6112 2011-6-20 11:33
预览 两种同步FIFO的实现方法(verilog实现) xinu2009 2010-5-4 25344 zhixin888 2011-6-19 15:44
预览 四大FPGA供应商专家谈FPGA设计诀窍 attach_img weibode01 2010-11-16 52341 zhixin888 2011-6-19 15:43
预览 基于FPGA的TH-UWB窄脉冲信号发生器设计与实现 attach_img weibode01 2010-11-23 32299 zhixin888 2011-6-19 15:43
预览 帮忙看一下这段时钟脉冲输入选择器 VHDL 语言编程源程序哪里有错误? why 2011-6-8 42030 zhixin888 2011-6-19 15:43
预览 一个VHDL电梯控制器的程序 ! longt 2010-6-27 63476 zhixin888 2011-6-19 15:42
预览 请教一个verilog for循环语句的问题 attach_img lanpad 2011-6-15 84016 zhixin888 2011-6-19 15:42
预览 task/function可以综合吗? zhang_siyuanren 2011-6-16 34364 zhixin888 2011-6-19 15:41
预览 有没有谁用过FPGA对直流电机的PID控制啊? IPO 2011-6-19 01523 IPO 2011-6-19 10:38
预览 请问有哪个大哥知道verilog这个赋值语句里面的#1是什么意思 IPO 2011-6-19 31970 IPO 2011-6-19 10:35
预览 大家有用过spi接口扩io的没 vvt 2011-6-19 01603 vvt 2011-6-19 10:24
预览 麻烦大家告诉我FPGA的可以实时在线检验怎么解释? vvt 2011-6-19 01660 vvt 2011-6-19 10:23
预览 有没有大侠用FPGA做过网口啊 vvt 2011-6-19 01587 vvt 2011-6-19 10:23
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-6 12:05 , Processed in 0.065688 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块