集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (8) |订阅

FPGA技术交流 今日: 0|主题: 12939|排名: 7 

作者 回复/查看 最后发表
预览 请问你做过触摸屏的程序吗 IPO 2011-2-15 01266 IPO 2011-2-15 19:56
预览 怎样使用generate 来初始化 IPO 2011-2-15 11417 IPO 2011-2-15 10:19
预览 nios 的 flash programm 功能 IPO 2011-2-15 01885 IPO 2011-2-15 10:06
预览 2011年1月的最新字体设计作品集 attach_img zitichina520 2011-2-13 01356 zitichina520 2011-2-13 17:23
预览 在vhdl中 如何在不同进程中给同一信号赋值 急 !!!!! attach_img li054755 2011-2-11 42687 njithjw 2011-2-11 22:31
预览 关于i2c仿真,遇到问题了testbench来验证我的i2c slave core ICE 2010-6-27 68617 eagle2011 2011-2-11 21:14
预览 Sigma Designs芯片 SMP8653A,大量现货,特价销售, BJXY-IC 2010-8-20 22354 BJXY-IC 2011-2-11 10:56
预览 关于ISE仿真出现的问题,请高人指教 kshparadise 2011-2-10 01524 kshparadise 2011-2-10 20:52
预览 求通用调制信号发生器FPGA接口程序设计 纵横天下 2011-2-6 01180 纵横天下 2011-2-6 20:42
预览 求FPGA与PC通讯资料 yyh1910 2010-11-9 31625 纵横天下 2011-2-6 20:33
预览 求助:新手modelsim仿真出现的问题 驾神马看浮云 2011-2-5 01459 驾神马看浮云 2011-2-5 01:10
预览 【推荐理由:资料丰富】STM32开发板神舟IV号 armjishu 2011-2-4 01398 armjishu 2011-2-4 22:57
预览 【推荐理由:资料丰富】STM32开发板神舟III号 armjishu 2011-2-4 01341 armjishu 2011-2-4 22:55
预览 protel在win7下如何装载元件库 cctv 2011-1-28 52421 lidonglei1 2011-2-4 15:54
预览 菜鸟求助高手指点VHDL 老狗司令 2011-1-31 11303 老狗司令 2011-1-31 22:01
预览 我写的PS2键盘控制电子琴(VHDL) izhou0517 2011-1-30 02292 izhou0517 2011-1-30 23:35
预览 初学verilog还是不要看中国的书  ...23 ngtim 2010-6-26 239132 yingxas 2011-1-28 23:16
预览 下面两种检测SCK上升沿的方式各有什么优缺点吗 pp10101 2011-1-26 11822 hebinmyland 2011-1-28 16:53
预览 ModelSim仿真时出现的问题! swfc_qinmm 2011-1-20 11482 god200209 2011-1-28 16:19
预览 把quartus ii里一个verilog文件变成了一个可以在原理图文件里的可以调用的模块 vvt 2011-1-28 12277 vvt 2011-1-28 08:19
预览 请问下载QUARTUS里面怎么把自己写的程序生成一个自己的IP vvt 2011-1-28 01758 vvt 2011-1-28 08:18
预览 PS/2程序源码 vvt 2011-1-28 01464 vvt 2011-1-28 08:17
预览 lvds有并行,双向的芯片吗 cctv 2011-1-26 22676 cctv 2011-1-26 17:30
预览 verilog 学到cpu了,不知怎么学了。求指点 谢谢 815436262 2011-1-26 11453 vvt 2011-1-26 10:08
预览 fpu是单精度计算模块 vvt 2011-1-25 41484 vvt 2011-1-25 21:35
预览 请问打开xilinx的原理图,可以看到有些线是虚线,有些线是实线,有什么区别呢?? vvt 2011-1-25 01454 vvt 2011-1-25 21:34
预览 问一个USB的问题 yingxas 2011-1-25 01232 yingxas 2011-1-25 00:22
预览 为什么当用always块为组合逻辑建模,使用“阻塞赋值” IPO 2011-1-19 22388 SaintRicky 2011-1-24 21:05
预览 使用Quartus中signaltap II logic Analayzer时 vvt 2011-1-24 43234 vvt 2011-1-24 15:37
预览 有谁用FPGA模拟过JTAG时序? vvt 2011-1-24 02353 vvt 2011-1-24 10:09
预览 怎么计算整个电路板所需要的功耗呀 vvt 2011-1-24 01684 vvt 2011-1-24 10:08
预览 ise10.1 添加乘法器ip核 vvt 2011-1-24 02022 vvt 2011-1-24 10:07
预览 关于Altera 的FPGA 资源不够用的情况 wgqzhj 2010-12-26 31867 wuliang56 2011-1-23 22:52
预览 哪位高手用过陀螺仪传感器? vvt 2011-1-22 01340 vvt 2011-1-22 10:08
预览 用quartus做DM9000A的sopc实验时iCMD端口应该连在avalon的什么端口? vvt 2011-1-22 02036 vvt 2011-1-22 10:07
预览 quartus里没有我需要的芯片去那里更新啊? vvt 2011-1-22 01877 vvt 2011-1-22 10:06
预览 quartus中怎么生成.qar文件 vvt 2011-1-22 03968 vvt 2011-1-22 10:06
预览 proteus仿真下的波形频率怎么设置?用C编、、比如需要1—1000hz IPO 2011-1-21 01543 IPO 2011-1-21 12:04
预览 这个问题是出在哪? IPO 2011-1-21 01222 IPO 2011-1-21 12:04
预览 菜鸟请教,FLASH里面如何擦写已经写入的程序呢? IPO 2011-1-21 11657 IPO 2011-1-21 12:03
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-3 02:28 , Processed in 0.076676 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块