集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
楼主: lcytms

跟李凡老师学FPGA之VHDL基础D01(20160720课堂笔记)

[复制链接]
 楼主| lcytms 发表于 2018-12-30 22:15:20 | 显示全部楼层
1147
        分析综合。
        运行仿真。
        看见相同的结果。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-12-30 22:16:39 | 显示全部楼层
1148
        通过这个例子,我们可以看见几种语法的使用,VHDL做循环控制的行为数据流。
        刚才我们讨论的是单比特的多路器。
        现在我们改成总线。
        4路进来,分别是7:0,输入的选择应该是1:0。
        0/1/2/3。
  

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-12-30 22:18:02 | 显示全部楼层
1149
        这个多路器我们也用if、case语句来做一下。
        我再新建一个工程,新工程向导。
        现在做一个4选1。
        选一款器件。
  

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-12-30 22:19:36 | 显示全部楼层
1150
        新建VHDL文件。
        这次我们就做一个,说明一下总线是如何处理的。
        另存为mux4_vh.vhd。
        库声明。
        这次我们还需要打开另外几个库。
        Unsigned。
  

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2019-1-1 09:22:07 | 显示全部楼层
1151
        还需要一个算术arith。
        然后写实体。
        端口。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2019-1-1 09:22:59 | 显示全部楼层
1152
        这次呢要声明成一个向量。
        7:0在VHDL里面会写成7 downto 0。
        VHDL打的代码多。
        A,b。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2019-1-1 09:23:59 | 显示全部楼层
1153
        C,d。
        s是1:0。
        输出f。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2019-1-6 22:34:49 | 显示全部楼层
1154
        然后写结构体。
        用行为来写。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2019-1-6 22:35:46 | 显示全部楼层
1155
        Ctrl-S、Ctrl-K。
        检查框架。
        然后写它的行为。
        行为体,两要素。
        这次省略它的IM命名。
        Process,信号敏感表。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2019-1-6 22:36:40 | 显示全部楼层
1156
        还是用if语句来写,它是一个架构。
        S是2个比特,要用双引号括起来。
        它是标准逻辑向量,标准逻辑向量在1164里面就是这种定义,用双引号括起来。
        00输出a。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-3 14:32 , Processed in 0.069634 second(s), 17 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表