集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
楼主: lcytms

跟李凡老师学FPGA扩频通信D02:串行通信设计(20170419课堂笔记)

[复制链接]
 楼主| lcytms 发表于 2018-2-3 10:38:49 | 显示全部楼层
本帖最后由 lcytms 于 2018-2-3 10:39 编辑

1624
        (同学分享)。
        编写frame_syn.v代码。
        帧同步怎么写?
        刚刚在黑板上绘制了。
        要准备八个寄存器。
        要做比较。
        宽度7:0。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-2-4 16:32:32 | 显示全部楼层
1625
        (同学分享)。
        编写frame_syn.v代码。
        写sr也可以,shift_reg也可以。
        第4行,fs做成线,帧同步做成行为。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-2-4 16:34:00 | 显示全部楼层
1626
        (同学分享)。
        编写frame_syn.v代码。
        然后fs接sr的最高位,第7位。
        是MSB。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-2-4 16:36:43 | 显示全部楼层
1627
        (同学分享)。
        编写frame_syn.v代码。
        做个define。
        帧头,5A。
        看看发送器的奇偶校验的部分,是不是5A。
        也可以做一个头文件。
  

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-2-4 16:38:21 | 显示全部楼层
1628
        (同学分享)。
        编写frame_syn.v代码。
        先写shift_reg模块,移位寄存器。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-2-4 16:40:51 | 显示全部楼层
1629
        (同学分享)。
        编写frame_syn.v代码。
        往高位移。
        不是这么写。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-2-5 09:45:03 | 显示全部楼层
1630
        (同学分享)。
        编写frame_syn.v代码。
        当en为真值的时候,装到第0位。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-2-5 09:46:12 | 显示全部楼层
1631
        (同学分享)。
        编写frame_syn.v代码。
        把sr的6:0给7:1。
        我在黑板上讲的那个架构,时间来不及,就没有做到word上。
        还有一个always语句。
        这个行为是输出帧同步的。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-2-5 09:47:36 | 显示全部楼层
1632
        (同学分享)。
        编写frame_syn.v代码。
        是比较器的。
        不要这样写。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
 楼主| lcytms 发表于 2018-2-6 12:04:59 | 显示全部楼层
1633
        (同学分享)。
        编写frame_syn.v代码。
        我告诉你怎么写。
        这段写法明白什么意思吧?
        圆括弧里面的条件表达式相等的话,条件就为真嘛,布尔量就会为1,相等就为1,不相等就为0。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?我要注册

x
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-20 05:15 , Processed in 0.069413 second(s), 17 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表