集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (8) |订阅

FPGA技术交流 今日: 0|主题: 12935|排名: 4 

作者 回复/查看 最后发表
预览 经常看到gate这个词. 能够具体解释一下它的含义, 例举其用法以及如何避免问题? CPLD 2010-5-3 02332 CPLD 2010-5-3 07:22
预览 FPGA生产产商提供了IP, 如何用第三方软件, 如Advantage , 调用并进行仿真? CPLD 2010-5-3 02270 CPLD 2010-5-3 07:21
预览 为了保证设计可靠性, 需要重点关注哪些方面? CPLD 2010-5-3 02132 CPLD 2010-5-3 07:20
预览 如何在设计前期分析芯片的功耗? CPLD 2010-5-3 02100 CPLD 2010-5-3 07:20
预览 用MAXPLUSII或QUARTUS多次编译同一设计生成的带延时的网表文件中的延时是否一样? CPLD 2010-5-3 02540 CPLD 2010-5-3 07:19
预览 关于双向口的仿真, 如果双向口用作输入口, 输出口该怎么设置? CPLD 2010-5-3 02128 CPLD 2010-5-3 07:18
预览 IP CAPTION 就是核发生器, 它运行在核发生器目录下吗? CPLD 2010-5-3 02102 CPLD 2010-5-3 07:17
预览 用modelsim后仿真时需要用到XILINX的库 CPLD 2010-5-3 02847 CPLD 2010-5-3 07:16
预览 在VHDL中, 定义为SIGNAL的量起到什么作用?什么时候需要定义这个量? CPLD 2010-5-3 02446 CPLD 2010-5-3 07:14
预览 在FPGA中是以何种形式实现VHDL的变量类型的? CPLD 2010-5-3 02188 CPLD 2010-5-3 07:13
预览 SRFF = SR flipflop SRFF和SR latch有何区别? CPLD 2010-5-3 02144 CPLD 2010-5-3 07:12
预览 如何将FPGA设计转为ASIC设计? CPLD 2010-5-3 02113 CPLD 2010-5-3 07:10
预览 如何在ISE 中看到PAD TO PAD 的布线情况? CPLD 2010-5-3 02153 CPLD 2010-5-3 07:09
预览 由于现在的设计基本上都是同步设计, 那么PAD TO PAD CONSTRAINT 在什么情况下使用? CPLD 2010-5-3 02079 CPLD 2010-5-3 07:08
预览 ISE中PAD TO PAD CONSTRAINT 是否是包括输入输出的pad时延? CPLD 2010-5-3 02561 CPLD 2010-5-3 07:06
预览 关于vhdl的问题:如果a、b、c同时改变, 该进程是否同时执行三次? CPLD 2010-5-3 01989 CPLD 2010-5-3 07:03
预览 什么是.scf? CPLD 2010-5-3 02069 CPLD 2010-5-3 07:01
预览 NIOS+LWIP网络传输速度能够达到多少 ccs 2010-5-1 02765 ccs 2010-5-1 21:52
预览 VHDL设计的消抖与滤波 老怪甲 2010-4-30 02179 老怪甲 2010-4-30 13:20
预览 急求 VHDL语言编写 shijia 2010-4-29 02100 shijia 2010-4-29 14:08
预览 阅读HDL程序的方法 20080067 2010-4-29 02033 20080067 2010-4-29 12:12
预览 FPGA与DSP数据通信问题 20080067 2010-4-29 02097 20080067 2010-4-29 12:07
预览 一个工程师有关FPGA项目的感言 20080067 2010-4-29 02098 20080067 2010-4-29 12:00
预览 FPGA设计高手是怎么设计关键模块的 20080067 2010-4-29 02188 20080067 2010-4-29 11:58
预览 FPGA设计需要的技能 20080067 2010-4-29 02587 20080067 2010-4-29 11:50
预览 FPGA访问DSP,出现的问题 20080067 2010-4-28 02072 20080067 2010-4-28 18:35
预览 D触发器 ltt_ww 2010-4-28 02092 ltt_ww 2010-4-28 16:07
预览 FPGA设计需要注意的方方面面 20080067 2010-4-27 02067 20080067 2010-4-27 18:02
预览 FPGA综合仿真错误 20080067 2010-4-27 02076 20080067 2010-4-27 17:55
预览 4个FPGA工程师面试题目 20080067 2010-4-27 02257 20080067 2010-4-27 17:54
预览 FPGA除法的实现? 20080067 2010-4-27 02018 20080067 2010-4-27 17:41
预览 一个FPGA新人碰到的问题 老怪甲 2010-4-27 02008 老怪甲 2010-4-27 09:35
预览 fpga多个模块并行运行问题 ddr 2010-4-26 02257 ddr 2010-4-26 14:51
预览 求:VHDL编写设计LCD显示的代码 小泡泡 2010-4-23 02144 小泡泡 2010-4-23 15:11
预览 求:VHDL编写设计LCD显示的代码 小泡泡 2010-4-23 02561 小泡泡 2010-4-23 15:11
预览 基于FPGA的智能密码锁的设计相关外文文献 小泡泡 2010-4-23 02141 小泡泡 2010-4-23 12:57
预览 怎样用FPGA来设计LED的驱动 小泡泡 2010-4-23 02118 小泡泡 2010-4-23 12:56
预览 fpga设计演奏电路 小泡泡 2010-4-23 02207 小泡泡 2010-4-23 12:55
预览 DSP+FPGA 实时信号处理系统中FPGA设计的关键问题 小泡泡 2010-4-23 02504 小泡泡 2010-4-23 12:49
预览 FPGA设计经典问答 小泡泡 2010-4-23 02454 小泡泡 2010-4-23 12:48
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-4-28 23:24 , Processed in 0.116041 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块