集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (8) |订阅

FPGA技术交流 今日: 0|主题: 12950|排名: 3 

作者 回复/查看 最后发表
预览 请教:如何使用FLASH 清霜一梦 2010-6-7 01966 清霜一梦 2010-6-7 18:48
预览 引号问题 meng454619501 2010-6-7 01904 meng454619501 2010-6-7 17:34
预览 那位用过s2060a芯片 桃园一片 2010-6-7 02155 桃园一片 2010-6-7 14:16
预览 ISE测试文件关联的问题 attach_img ouyangjingjlu 2010-6-6 02229 ouyangjingjlu 2010-6-6 16:03
预览 求助 多路相关时钟产生器 (SOS) ANDYYAOYT 2010-6-1 01964 ANDYYAOYT 2010-6-1 15:17
预览 有用DSP Builder做过锁相环的吗 vvt 2010-6-1 02026 vvt 2010-6-1 12:57
预览 FPGA里差分信号怎么转换为单端信号 CPLD 2010-6-1 02469 CPLD 2010-6-1 09:19
预览 while(tmp_var)怎么开始循环? yealien 2010-5-31 02289 yealien 2010-5-31 16:06
预览 如何循环读一个文本文件 20080067 2010-5-28 02060 20080067 2010-5-28 13:57
预览 哪位成功应用过AD7865,遇到一些问题如下,想请教一下 IPO 2010-5-27 02261 IPO 2010-5-27 16:06
预览 altera StratixHCIV_0 attach_img I2C 2010-5-25 01908 I2C 2010-5-25 15:10
预览 请问EP3C16Q240有没有工业级的? ccs 2010-5-24 02119 ccs 2010-5-24 11:29
预览 有没有带AD/DA的FPGA? wang 2010-5-23 02290 wang 2010-5-23 21:12
预览 一片epm1270价格大概多少? wang 2010-5-23 02739 wang 2010-5-23 08:42
预览 延时除了计数器还有什么方法? wang 2010-5-23 02076 wang 2010-5-23 08:14
预览 菜鸟向高手们求教,关于基于FPGA的红外图像处理的问题。 小小鸟 2010-5-22 02340 小小鸟 2010-5-22 15:34
预览 求高手指点 lijie 2010-5-22 01776 lijie 2010-5-22 10:43
预览 大侠帮帮忙啊 attach_img zsy5460 2010-5-22 02039 zsy5460 2010-5-22 00:45
预览 用FPGA设计一个信号源 ltj6111 2010-5-21 02035 ltj6111 2010-5-21 22:43
预览 请问大侠们:怎么样让SPARTAN_3A开发板的数据通过VGA端口输入到电脑显示器上。 IPO 2010-5-18 02181 IPO 2010-5-18 09:14
预览 help ISE Simulator 的问题 IPO 2010-5-15 02651 IPO 2010-5-15 09:49
预览 clock tree latency IPO 2010-5-15 02108 IPO 2010-5-15 09:47
预览 我用的是ise11.1版本,时序仿真不出现结果,不知道是为什么啊? ccs 2010-5-14 03491 ccs 2010-5-14 21:42
预览 求助串口 xiaoyeues 2010-5-14 02155 xiaoyeues 2010-5-14 16:48
预览 Quartus仿真结果正确,Modelsim功能仿真结果却和Quartus的结果有些数据不同 CPLD 2010-5-14 02452 CPLD 2010-5-14 12:35
预览 用Verilog如何实现EMD miwueshine 2010-5-14 02391 miwueshine 2010-5-14 09:58
预览 ModelSim作布局布线后仿真的库问题 ccs 2010-5-14 02366 ccs 2010-5-14 06:06
预览 怎样用vhdl语言实现映射关系 ccs 2010-5-14 02173 ccs 2010-5-14 06:05
预览 想在quartus2里编一个波形仿真文件,其中一个输入(input[1:0] x_in)? ccs 2010-5-14 02418 ccs 2010-5-14 06:04
预览 求用fpga实现定点小数运算,节省逻辑单元的方法 ccs 2010-5-14 02364 ccs 2010-5-14 06:03
预览 多时钟域如何实现异步复位同步释放 ccs 2010-5-14 02647 ccs 2010-5-14 06:02
预览 求助 基于fpga的数字上变频器 CPLD 2010-5-13 02011 CPLD 2010-5-13 15:18
预览 有没有人专门写代码的啊 CPLD 2010-5-13 02191 CPLD 2010-5-13 15:15
预览 XC3S250E-4TQG144I 这个价格 ccs 2010-5-12 02061 ccs 2010-5-12 14:42
预览 rs232通信如何检验接收到的数据是不是正确的啊 CPLD 2010-5-11 01976 CPLD 2010-5-11 20:32
预览 QUARTUS当中仿真的时候,手动设置输入波形是不是不精确啊 IPO 2010-5-11 02554 IPO 2010-5-11 14:57
预览 有人使用sysgen吗? ccs 2010-5-11 02125 ccs 2010-5-11 09:31
预览 仿制HCTL-2016的旋转增量(正交)编码器计数器 老怪甲 2010-5-8 02583 老怪甲 2010-5-8 09:49
预览 问一下各位 FPGA中的dsp block是什么 怎么调用?是不是可以实现乘法 除法? vvt 2010-5-7 02061 vvt 2010-5-7 23:05
预览 关于验证中的golden model arsenal1215 2010-5-7 02097 arsenal1215 2010-5-7 15:50
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-14 02:51 , Processed in 0.074386 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块