集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (8) |订阅

FPGA技术交流 今日: 0|主题: 12949|排名: 3 

作者 回复/查看 最后发表
预览 CPLD里面可以定时吗? ANG 2010-6-26 02017 ANG 2010-6-26 01:05
预览 quartus中如何构建元件库 longtime 2010-6-26 01930 longtime 2010-6-26 01:05
预览 MAX II EPM240管脚是否都可以定义为I/O,而不是某些管脚只能作为输入。 AAT 2010-6-26 02035 AAT 2010-6-26 01:02
预览 port 端口说明中的输入输出全是信号量,不能是实数型变量? CHANG 2010-6-26 01856 CHANG 2010-6-26 00:57
预览 数码管的引脚锁定问题 ups 2010-6-26 01816 ups 2010-6-26 00:56
预览 .sof程序下载进去的时候,FPGA过不多久就自动复位 interi 2010-6-26 01698 interi 2010-6-26 00:55
预览 关于FSK在波形仿真的时候这两个信号的周期相差太大 HDL 2010-6-26 02011 HDL 2010-6-26 00:50
预览 海量数据存储,用CPLD或fpga实现PCI接口?! longtime 2010-6-26 01838 longtime 2010-6-26 00:48
预览 液晶屏的接口cpld与sed1335接口 inter 2010-6-26 02199 inter 2010-6-26 00:46
预览 求助:毕业设计基于CPLD的HDB3编码 tim 2010-6-26 02278 tim 2010-6-26 00:42
预览 编写高效的测试设计(testbenches longtim 2010-6-26 02063 longtim 2010-6-26 00:42
预览 如何用CPLD或fpga做总线驱动? CHANG 2010-6-26 01975 CHANG 2010-6-26 00:41
预览 在FPGA上验证能跑起来,相同代码,CPLD上跑不起来 VVIC 2010-6-26 02447 VVIC 2010-6-26 00:38
预览 什么是组合反馈,应该如何避免 encounter 2010-6-26 02063 encounter 2010-6-26 00:38
预览 关于cpld发脉冲的问题,两段代码一个有输出,一个没有,不知道为什么。 tim 2010-6-26 02084 tim 2010-6-26 00:38
预览 Almost one-hot encoding是什么意思? 粉妮 2010-6-25 22569 麦子 2010-6-26 00:38
预览 如何用电路实现整数取反,如输入5,经过电路后输出-5 encounter 2010-6-26 02054 encounter 2010-6-26 00:34
预览 有关CRC的结果有没有可能是全0 蓦然囙首 2010-6-25 12486 神仙姐姐 2010-6-26 00:33
预览 请问:CPLD厂家提供的低层的标准库是否都已不存在竞争冒险问题? usd 2010-6-26 01793 usd 2010-6-26 00:32
预览 Lattice的isplever的问题 别生气咯 2010-6-26 02056 别生气咯 2010-6-26 00:30
预览 请教版主 蓦然囙首 2010-6-25 12027 蓝雪 2010-6-26 00:29
预览 fpga HANG 2010-6-26 01899 HANG 2010-6-26 00:26
预览 QUARTUS里面怎么没有象XILINX里设计状态机那样的工具? tim 2010-6-26 02475 tim 2010-6-26 00:25
预览 状态机综合的问题 inter 2010-6-26 02119 inter 2010-6-26 00:22
预览 如何在板子上调试符合要求的状态机? AAT 2010-6-26 02104 AAT 2010-6-26 00:19
预览 状态机,但是仿真显示状态不变化 ANG 2010-6-26 02093 ANG 2010-6-26 00:19
预览 本人正在设计的一个芯片,综合后的电路图杂乱文章,信号线错综复杂 海龟 2010-6-25 01915 海龟 2010-6-25 23:57
预览 请教关于用first encounter做layout 蓝色海域 2010-6-25 01902 蓝色海域 2010-6-25 23:54
预览 语音芯片是TLV320AIC23B数字音频控制接口设计的状态机设计 夜带水果刀 2010-6-25 02326 夜带水果刀 2010-6-25 23:22
预览 如何求解一个电路的最大瞬态电流,哪些工具可以帮助我们实现这一想法呢? 神仙姐姐 2010-6-25 01909 神仙姐姐 2010-6-25 23:12
预览 关于sof和pof文件的问题! zhaoyiman 2010-6-25 02210 zhaoyiman 2010-6-25 11:16
预览 谁能帮写一个BP网络算法的Verilog或者VHDL程序,价钱商量 muwu324 2010-6-25 02043 muwu324 2010-6-25 10:36
预览 关于ALTERA FPGA 烧写程序不运行的问题 attach_img hugoodboy 2010-6-25 02962 hugoodboy 2010-6-25 10:20
预览 Quartus中仿真时出现no simulation input file assignment specify 解决方法 vhdl 2010-6-24 02208 vhdl 2010-6-24 08:46
预览 sram的verilog程序 CPLD 2010-6-23 03860 CPLD 2010-6-23 14:25
预览 FPGA中有定时器模块吗?如果有怎么调出来啊 supercgai 2010-6-4 32284 fpga0632 2010-6-23 04:50
预览 求助 pex857617729 2010-6-9 11921 amyxxf 2010-6-22 23:06
预览 谁能帮忙弄一个vhdl计时程序 要求分和秒 含顶层文件 dds 2010-6-22 02054 dds 2010-6-22 18:02
预览 fpga仿真软件哪个好用? vvt 2010-6-19 56720 shuhai32 2010-6-22 16:17
预览 spartan6用多大的晶振呀? vvt 2010-6-22 02660 vvt 2010-6-22 11:57
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-5-12 23:13 , Processed in 0.080185 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

返回顶部 返回版块